2005-02-27から1日間の記事一覧

FREEのVHDLコンパイラGHDL

http://ghdl.free.fr/ に gcc を使った VHDL コンパイラ/シミュレータ の GHDL がありました。i686-pcアーキテクチャの Linux 上で動作とあります。 makeファイルを auto-generate した後、回路のビルドに make も使えるようです。さらに SDF(2.1)ファイルも…